Active-HDL™ is a Windows® based integrated FPGA Design Creation.

  DOWNLOAD Free

Active-HDL™ is a Windows® based integrated FPGA Design Creation and Simulation solution. Active-HDL includes a full HDL graphical design tool suite and RTL/gate-level mixed-language simulator.

The design flow manager evokes 90 plus EDA and FPGA tools, during design entry, simulation, synthesis and implementation flows, making it a seamless and flexible design creation and simulation platform. Active-HDL supports industry leading FPGA devices, from Altera®, Atmel®, Lattice®, Microsemi™ (Actel), Quicklogic®, Xilinx® and more.

This program received 1 award
  DOWNLOAD Free
Specifications
Developer:
Aldec
License type:
Freeware
Comments
Guest 13 years ago

very friendly to use!

Related stories
Related suggestions